課題4

 

電卓を作ろう(その1)!!

 



 port (
   clk, reset, start: in std_logic;
    op1, op2: in std_logic_vector(7 downto 0);
    mode: in std_logic_vector(7 downto 0);
         
    result: out std_logic_vector(15 downto 0)
    );