- まずVHDLで記述して下さい。
- 次に論理シミュレータで動作を確認して下さい。
- 2ビットカウンタのFPGA用ファイル「counter2_fpga.vhd」を参考にして、この回路のFPGA用VHDLファイルを作成し、Altera UP1 ボードで動作を確認して下さい。
- まずVHDLで記述して下さい。
- 次に論理シミュレータで動作を確認して下さい。
- 2ビットカウンタのFPGA用ファイル「counter2_fpga.vhd」を参考にして、この回路のFPGA用VHDLファイルを作成し、Altera UP1 ボードで動作を確認して下さい。
port ( clk, ss, sr: in std_logic; count: out std_logic_vector(15 downto 0); beat : out std_logic; ); |