課題2

 

ストップウォッチを作ろう(その1)!!

 


 

  • これまでに学習した「2ビットカウンタ(counter2.vhd)」または「状態遷移を用いた2ビットカウンタ(counterst.vhd)」を参考にするか、あるいは「IEEE1164 ライブラリ」において学習した加算演算子「+」を用いて1ずつ加算するなどの方法で、上記の回路をVHDLで記述して下さい。なお将来、FPGAへダウンロードすることを考えてポート宣言は以下のように宣言して下さい(ポート宣言の順序は他のファイルでも以下の順序で統一して下さい)
 port (
           reset clk: in std_logic;       
           count: out std_logic_vector(7 downto 0)
           );
  • VHDL記述ができたら、論理シミュレータで動作を確認して下さい。
  • 次に論理合成を行い、面積・遅延を計測して下さい。
  • 面積制約のみの場合と遅延制約を付けた場合とで比較して下さい。